Đồ án Thiết kế, mô phỏng bộ lọc nhiễu tín hiệu điện tim dùng matlab và chuyển mã VHDL

Bꢀ GIÁO DꢁC & ĐÀO TꢂO  
TRꢃꢄNG ĐꢂI HꢅC Sꢃ PHꢂM Kꢆ THUꢇT TP. Hꢈ CHÍ MINH  
KHOA ĐIꢉN – ĐIꢉN Tꢊ  
Bꢀ MÔN ĐIꢉN Tꢊ CÔNG NGHIꢉP – Y SINH  
---------------------------------  
Đꢈ ÁN TꢋT NGHIꢉP  
NGÀNH CÔNG NGHꢉ Kꢆ THUꢇT ĐIꢉN Tꢊ TRUYꢌN THÔNG  
Đꢌ TÀI:  
THIꢍT Kꢍ, MÔ PHꢎNG Bꢀ LꢅC  
NHIꢏU TÍN HIꢉU ĐIꢉN TIM DÙNG  
MATLAB VÀ CHUYꢐN MÃ VHDL  
GVHD: ThS. Nguyꢑn Thanh Nghƿa  
SVTH: Trꢒn Phan Ái Mꢓ  
MSSV: 14141200  
Tp. Hꢔ Chí Minh – 01/2019  
TRꢃꢄNG ĐH SPKT TP. Hꢈ CHÍ MINH  
KHOA ĐIꢉN-ĐIꢉN Tꢊ  
Bꢀ MÔN ĐIꢉN Tꢊ CÔNG NGHIꢉP – Y SINH  
CꢀNG HÒA XÃ HꢀI CHꢕ NGHƾA VIꢉT NAM  
ĐꢀC LꢇP - Tꢖ DO - HꢂNH PHÚC  
----o0o----  
Tp. HCM, ngày 03 tháng 10 năm 2018  
NHIꢉM Vꢁ Đꢈ ÁN TꢋT NGHIꢉP  
Hꢀ tên sinh viên: Trꢁn Thanh Lâm  
Trꢁn Phan Ái Mỹ  
Chuyên ngành: Điꢂn tꢃ công nghiꢂp  
MSSV: 14141160  
MSSV: 14141200  
Mã ngành: 14941  
Hꢂ đào tꢄo:  
Khóa:  
Đꢄi hꢀc chính quy  
2014  
Mã hꢂ:  
Lꢅp:  
K14941  
14941DT  
I. TÊN Đꢆ TÀI: THIꢍT Kꢍ, MÔ PHꢎNG Bꢀ LꢅC NHIꢏU TÍN HIꢉU  
ĐIꢉN TIM DÙNG MATLAB VÀ CHUYꢐN MÃ VHDL  
II. NHIꢇM Vꢈ  
1. Các sꢉ liꢂu ban đꢁu:  
- Tín hiꢂu điꢂn tim ECG đꢊꢋc thu thp trên Matlab  
- Sdng bxlchính là kit FPGA Altera DE2-115.  
2. Nꢎi dung thꢐc hiꢂn:  
- Tm hiu vcác blc thông thp, lc thông cao, lc thông di.  
- La chn phn cng, nghiên cu, phân tích nguyên tc hoꢄt đꢎng ca tng khi  
đꢒ xây dng mô hnh hoàn chnh cho hthng.  
- Thit kvà mô phng blc tín hiꢂu đin tim trên Matlab và chuyn mã VHDL.  
III. NGÀY GIAO NHIꢇM Vꢈ:  
03/10/2018  
IV. NGÀY HOÀN THÀNH NHIꢇM Vꢈ: 10/01/2019  
V. Hꢝ VÀ TÊN CÁN Bꢞ HꢟꢠNG DꢡN: ThS. Nguyꢢn Thanh Nghĩa  
CÁN Bꢞ HꢟꢠNG DꢡN  
BM. ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang i  
TRꢃꢄNG ĐH SPKT TP. Hꢈ CHÍ MINH  
KHOA ĐIꢉN - ĐIꢉN Tꢊ  
Bꢀ MÔN ĐIꢉN Tꢊ CÔNG NGHIꢉP – Y SINH  
CꢀNG HÒA XÃ HꢀI CHꢕ NGHƾA VIꢉT NAM  
ĐꢀC LꢇP - Tꢖ DO - HꢂNH PHÚC  
----o0o----  
Tp. HCM, ngày 05 tháng 10 năm 2018  
LꢗCH TRÌNH THꢖC HIꢉN Đꢈ ÁN TꢋT NGHIꢉP  
Hꢀ tên sinh viên 1: Trꢁn Thanh Lâm  
Lꢅp:  
14941DT  
MSSV: 14141160  
MSSV: 14141200  
Hꢀ tên sinh viên 2: Trꢁn Phan Ái Mỹ  
Lꢅp:  
14941DT  
Tên đꢓ tài:  
THIꢍT Kꢍ, MÔ PHꢎNG Bꢀ LꢅC NHIꢏU TÍN HIꢉU  
ĐIꢉN TIM DÙNG MATLAB VÀ CHUYꢐN MÃ VHDL  
Tuần/ngày  
Nội dung  
Xác nhận GVHD  
Tm ꢏ tꢊꢤng cho đꢓ tài, xây dꢐng đꢓ  
cꢊꢥng, sꢗp xp lch trnh thc hiꢂn đꢧ  
án.  
Tun 1  
03/10/2018 08/10/2018  
Tun 2, 3, 4  
09/10/201829/10/2018  
Phân tích yêu cu hthng, tm hiu  
cꢥ sꢤ lthuyt vtín hiꢂu điꢂn tim, lý  
thuyꢛt vꢓ các bꢎ lꢀc  
Tun 5, 6, 7  
30/10/2018 19/11/2018  
Tm hiu lthuyt vkit FPGA  
De2_115  
Tun 8  
20/11/201826/11/2018  
Xây dꢐng và phân tích sꢥ đꢧ khi cꢘa  
hꢂ thꢉng.  
Tun 9, 10  
27/11/2018 10/12/2018  
Tiꢛn hành lꢌp trꢑnh, thiꢛt kꢛ các bꢎ lꢀc  
trên FDATool cꢘa Matlab  
Tun 11, 12  
11/12/2018 24/12/2018  
Tiꢛn hành mô phꢜng, chꢄy thꢃ hoꢄt  
đꢎng cꢘa bꢎ lꢀc và chꢚnh sꢃa các lꢨi.  
Tun 14, 15  
25/12/2018 10/01/2018  
Viꢛt và hoàn thiꢂn báo cáo  
GV HꢟꢠNG DꢡN  
(Kꢏ và ghi rõ hꢀ và tên)  
Trang ii  
LꢄI CAM ĐOAN  
Đꢓ tài này do nhóm chúng em thꢐc hiꢂn dꢐa vào mꢎt sꢉ tài liꢂu và công trꢑnh  
nghiên cꢖu trꢊꢅc đó và không sao chép tꢙ tài liꢂu hay công trꢑnh đã có trꢊꢅc đó.  
Ngꢊꢩi thꢐc hiꢂn đꢓ tài  
Trꢒn Thanh Lâm  
Trꢒn Phan Ái Mꢓ  
Trang iii  
LꢄI CꢘM ꢙN  
Lꢩi đꢁu tiên, nhóm em xin gꢃi lꢩi cꢕm ꢥn chân thành và sâu sꢗc nhꢔt đꢛn Thꢁy  
Nguyn Thanh Nghĩa. Thꢁy đã tꢌn tꢑnh hꢊꢅng dꢪn, góp ꢏ đꢦnh hꢊꢅng, tꢄo mꢀi điꢓu kiꢂn  
cho nhóm em trong suꢉt quá trꢑnh thꢐc hiꢂn đꢓ tài tꢉt nghiꢂp.  
Nhóm em xin chân thành cꢕm ꢥn đꢛn tꢔt cꢕ các thꢁy cô Khoa Điꢂn – Điꢂn t,  
Trꢊꢩng Đꢄi Hꢀc Sꢊ Phꢄm KThuꢌt Tp HCM, nhꢫng kiꢛn thꢖc và kinh nghiꢂm quꢏ báu  
mà chúng em nhꢌn đꢊꢋc tꢙ thꢁy cô trong suꢉt quá trꢑnh theo hꢀc sꢬ là hành trang tꢉt  
nhꢔt giúp chúng em vꢫng bꢊꢅc trong sꢐ nghiꢂp cꢘa mꢑnh.  
Nhóm em xin chân thành cꢕm ꢥn Ban Giám Hiꢂu Trꢊꢩng Đꢄi Hꢀc Sꢊ Phꢄm Kỹ  
Thuꢌt Tp HCM đã tꢄo điꢓu kiꢂn cho chúng em làm đꢧ án này.  
Cuꢉi cùng, chúng em xin gꢃi nhꢫng lꢩi tri ân đꢛn gia đꢑnh, bꢄn bè, nhꢫng ngꢊꢩi  
thân yêu nhꢔt luôn quan tâm và tꢄo điꢓu kiꢂn tꢉt nhꢔt cho chúng em trong suꢉt quá trꢑnh  
hꢀc tꢌp.  
Trang iv  
MꢁC LꢁC  
NHIꢇM Vꢈ Đꢭ ÁN TꢮT NGHIꢇP ...........................................................................i  
LỊCH TRÌNH THꢯC HIꢇN Đꢭ ÁN TꢮT NGHIꢇP.................................................ii  
LꢰI CAM ĐOAN......................................................................................................iii  
LꢰI CꢱM ꢲN............................................................................................................iv  
MꢈC LꢈC.................................................................................................................. v  
LIꢇT KÊ HÌNH .........................................................................................................ix  
LIꢇT KÊ BꢱNG........................................................................................................xi  
DANH MꢈC CÁC TỪ VIꢳT TꢴT..........................................................................xii  
CHꢟꢲNG 1: TꢵNG QUAN...................................................................................... 1  
1.1 ĐꢶT VꢷN Đꢆ .................................................................................................. 1  
1.2 MꢈC TIÊU ....................................................................................................... 2  
1.3 NꢞI DUNG NGHIÊN CỨU............................................................................. 2  
1.4 GIꢠI HꢸN ........................................................................................................ 2  
1.5 Bꢮ CꢈC............................................................................................................ 2  
CHꢟꢲNG 2: Cꢲ Sꢹ LÝ THUYꢳT........................................................................... 4  
2.1 TꢵNG QUAN Vꢆ TÍN HIꢇU ĐIꢇN TIM ECG .............................................. 4  
2.1.1 Khái niꢂm vꢓ tín hiꢂu điꢂn tim ECG.......................................................... 4  
2.1.2 Cꢔu trúc giꢕi phꢪu và chꢖc năng cꢘa tim................................................... 4  
2.1.3 Nhꢦp tim ..................................................................................................... 6  
2.1.4 Các quá trꢑnh điꢂn hꢀc cꢘa tim................................................................... 6  
2.1.5 Quá trꢑnh hꢑnh thành tín hiꢂu điꢂn tim ....................................................... 6  
2.1.5.1 Nhĩ đꢧ ................................................................................................. 6  
2.1.5.2 Thꢔt đꢧ................................................................................................ 7  
2.1.6 Sꢐ hꢑnh thành các dꢄng sóng cꢘa tim......................................................... 9  
2.1.6.1 Tính dꢪn truyꢓn................................................................................... 9  
2.1.6.2 Tính trꢥ và các thꢩi kꢑ tr................................................................... 9  
2.1.6.3 Điꢂn trꢊꢩng cꢘa tim.......................................................................... 10  
2.1.7 Các thành phꢁn cꢘa tín hiꢂu điꢂn tim ECG.............................................. 10  
2.1.8 Các dꢕi tꢁn trong tín hiꢂu điꢂn tim ECG.................................................. 13  
Trang v  
2.1.9 Các phꢊꢥng pháp đo tín hiꢂu ECG .......................................................... 14  
2.1.9.1 Phꢊꢥng pháp Oscillometric.............................................................. 14  
2.1.9.2 Phꢊꢥng pháp điꢂn tim đꢧ.................................................................. 14  
2.1.9.3 Phꢊꢥng pháp hꢔp thꢍ quang hꢀc ...................................................... 15  
2.1.10 Các loꢄi nhiꢢu tác đꢎng đꢛn tín hiꢂu điꢂn tim........................................ 15  
2.2 LÝ THUYꢳT Vꢆ TÍN HIꢇU Sꢮ VÀ Bꢞ LꢝC S....................................... 17  
2.2.1 Tꢺng quan vꢓ tín hiꢂu s.......................................................................... 17  
2.2.2 Hꢂ xꢃ lꢏ sꢉ ............................................................................................... 19  
2.2.2.1 Mô tꢕ hꢂ xꢃ lꢏ s.............................................................................. 19  
2.2.2.2 Hꢂ xꢃ lꢏ sꢉ đꢂ quy và không đꢂ quy ................................................ 22  
2.2.3 Tꢺng quan vꢓ bꢎ lꢀc sꢉ ............................................................................ 22  
2.3.3.1 Bꢎ lꢀc thông thꢔp LPF...................................................................... 23  
2.3.3.2 Bꢎ lꢀc thông cao HPF....................................................................... 25  
2.3.3.3 Bꢎ lꢀc thông dꢕi BPF ....................................................................... 25  
2.3 TꢵNG QUAN Vꢆ CÔNG Cꢈ MATLAB...................................................... 25  
2.3.1 Giꢅi thiꢂu chung....................................................................................... 25  
2.3.2 Lꢌp trꢑnh trong matlab.............................................................................. 26  
2.3.2.1 M-File............................................................................................... 26  
2.3.2.2 Mꢎt sꢉ câu lꢂnh cꢥ bꢕn..................................................................... 30  
2.3.3 Trꢑnh mô phꢜng Simulink........................................................................ 34  
2.3.4 Công cꢍ thiꢛt kꢛ bꢎ lꢀc sꢉ FDATool cꢘa Matlab .................................... 38  
2.3.4.1 Giꢅi thiꢂu phꢊꢥng pháp thiꢛt kꢛ theo mô hꢑnh................................. 38  
2.3.4.2 Tꢺng quan vꢓ hꢎp công cꢍ thiꢛt kꢛ bꢎ lꢀc sꢉ (FDATool)................ 39  
2.3.4.3 Thiꢛt kꢛ bꢎ lꢀc sꢃ dꢍng giao diꢂn FDATool.................................... 41  
2.4 TꢵNG QUAN Vꢆ FPGA (ALTERA) VÀ PHꢻN MꢆM QUARTUS II....... 45  
2.4.1 Lꢦch sꢃ ra đꢩi và phát triꢒn cꢘa FPGA .................................................... 45  
2.4.2 Khái niꢂm FPGA...................................................................................... 46  
2.4.3 Ứng dꢍng FPGA ...................................................................................... 48  
2.4.4 Ý nghĩa FPGA.......................................................................................... 48  
2.4.5 Phꢁn mꢓm hꢨ trꢋ thiꢛt kꢛ Quatus II ......................................................... 49  
2.5 TꢵNG QUAN Vꢆ KIT DE2 -115 ALTERA ................................................. 51  
Trang vi  
2.5.1 Giꢅi thiꢂu.................................................................................................. 51  
2.5.2 Kit DE2 Cyclone IV EP4CE115F29C7N................................................ 52  
2.5.3 Cꢔp nguꢧn cho kit DE2............................................................................ 55  
2.6 GIꢠI THIꢇU NGÔN NGꢼ VHDL ................................................................ 55  
2.6.1 Giꢅi thiꢂu.................................................................................................. 55  
2.6.2 Cꢔu trúc mꢎt mô hꢑnh hꢂ thꢉng mô tꢕ bằng VHDL................................. 57  
2.6.3 Cú pháp và ngꢫ nghĩa .............................................................................. 59  
2.6.3.1 Đꢉi tꢊꢋng trong VHDL .................................................................... 59  
2.6.3.2 Kiꢒu dꢫ liꢂu trong VHDL................................................................. 61  
CHꢟꢲNG 3: THIꢳT Kꢳ VÀ THI CÔNG............................................................... 62  
3.1 GIꢠI THIꢇU ................................................................................................... 62  
3.2 TÍNH TOÁN VÀ THIꢳT Kꢳ Hꢇ THꢮNG.................................................... 62  
3.2.1 Thiꢛt kꢛ sꢥ đꢧ khꢉi hꢂ thꢉng.................................................................... 62  
3.2.2 Tính toán và thiꢛt kꢛ bꢎ lꢀc dꢄng FIR...................................................... 62  
3.2.2.1 Kꢛt cꢔu cho các kiꢒu lꢀc tꢁn sꢉ dꢄng FIR: ....................................... 62  
3.2.2.2 Cꢔu hꢑnh tꢺng quát cꢘa bꢎ lꢀc FIR................................................... 68  
3.2.3 Thiꢛt kꢛ bꢎ lꢀc sꢉ dꢄng FIR theo phꢊꢥng pháp MBD............................. 69  
3.2.3.1 Xây dꢐng sꢥ đꢧ khꢉi ........................................................................ 69  
3.2.3.2 Thiꢛt kꢛ, mô phꢜng và chuyꢒn mã VHDL ....................................... 70  
3.3 THI CÔNG Hꢇ THꢮNG ................................................................................ 80  
3.3.1 Biên dꢦch chꢊꢥng trꢑnh trên Quartus II.................................................... 80  
3.3.2 Sꢥ đꢧ khꢉi trên Quartus ........................................................................... 83  
3.3.3 Mô phꢜng bꢎ lꢀc dùng ModelSim ........................................................... 84  
3.3.3.1 Tꢺng quát vꢓ phꢁn mꢓm mô phꢜng ModelSim ................................ 84  
3.3.3.2 Mô phꢜng mꢄch lꢀc trên ModelSim................................................. 85  
3.3.4 Thꢃ nghiꢂm và kiꢒm tra........................................................................... 86  
CHꢟꢲNG 4: KꢳT QUꢱ - NHꢽN XÉT - ĐÁNH GIÁ ........................................... 87  
4.1 KꢳT QU....................................................................................................... 87  
4.1.1 Kꢛt quꢕ mô phꢜng bꢎ lꢀc trên Matlab ..................................................... 87  
4.1.2 Kꢛt quꢕ dꢄng sóng mô phꢜng trên ModelSim ......................................... 99  
4.2 NHꢽN XÉT – ĐÁNH GIÁ ............................................................................ 99  
Trang vii  
CHꢟꢲNG 5: KꢳT LUꢽN VÀ HꢟꢠNG PHÁT TRIỂN....................................... 101  
5.1 KꢳT LUꢽN................................................................................................... 101  
5.2 HꢟꢠNG PHÁT TRIỂN................................................................................ 101  
TÀI LIꢇU THAM KHꢱO...................................................................................... 102  
PHꢈ LꢈC ............................................................................................................... 103  
Trang viii  
LIꢉT KÊ HÌNH  
Hình 2.1 Cu tꢄo tim ngꢊꢩi.............................................................................................4  
Hình 2.2 Hthng dn truyn tim...................................................................................5  
Hình 2.3 Khcc tâm nhĩ và shnh thành sóng P........................................................7  
Hình 2.4 Khcc vách liên tht và shnh thành sóng Q..............................................7  
Hꢑnh 2.5 Dꢄng sóng tín hiꢂu điꢂn tim............................................................................10  
Hꢑnh 2.6 Máy đó huyꢛt áp kỹ thuꢌt sꢉ sꢃ dꢍng Oscillometric......................................14  
Hꢑnh 2.7 Thu thꢌp tín hiꢂu ECG tꢙ các điꢂn cꢐc ..........................................................14  
Hꢑnh 2.8 Dꢄng sóng cꢘa bꢂnh thiꢛu máu cꢍc bꢎ cꢥ tim................................................17  
Hình 2.9 Sꢥ đꢧ khꢉi cꢘa hꢂ xꢃ lꢏ sꢉ .............................................................................20  
Hꢑnh 2.10 Sꢥ đꢧ khꢉi cꢘa hꢂ xꢃ lꢏ sꢉ phꢖc tꢄp.............................................................20  
Hình 2.11 Kꢏ hiꢂu phꢁn tꢃ cꢎng ...................................................................................21  
Hình 2.12 Kꢏ hiꢂu phꢁn tꢃ nhân ...................................................................................21  
Hình 2.13 Kꢏ hiꢂu phꢁn tꢃ nhân vꢅi hằng s................................................................21  
Hình 2.14 Kꢏ hiꢂu phꢁn tꢃ trꢢ đꢥn vꢦ............................................................................22  
Hình 2.15 Sꢥ đꢧ khꢉi bꢎ lꢀc thông thꢔp dꢄng chính tꢗc ...............................................24  
Hình 2.16 Sꢥ đꢧ khꢉi bꢎ lꢀc thông thꢔp dꢄng chuyꢒn vꢦ ..............................................24  
Hꢑnh 2.17 Giao diꢂn trꢑnh mô phꢜng Simulink.............................................................35  
Hꢑnh 2.18 Khꢉi Sine Wave và thông sꢉ cài đꢾt.............................................................36  
Hꢑnh 2.19 Khꢉi Scope và màn hꢑnh hiꢒn th.................................................................36  
Hꢑnh 2.20 Khꢉi Random Source và thông sꢉ cài đꢾt ....................................................37  
Hꢑnh 2.21 Khꢉi Sum và thông sꢉ cài đꢾt.......................................................................37  
Hꢑnh 2.22 Khꢉi Gain và thông sꢉ cài đꢾt ......................................................................38  
Hình 2.23 Giao diꢂn thiꢛt kꢛ cꢘa FDATool ..................................................................41  
Hình 2.24 Thông sꢉ kỹ thuꢌt bꢎ lꢀc thông thꢔp ............................................................43  
Hình 2.25 Đáp tuyꢛn biên đꢎ_ tꢁn sꢉ pha cꢘa bꢎ lꢀc thông thꢔp..................................44  
Hình 2.26 Chuyꢒn thiꢛt kꢛ trên FDATool sang mã VHDL...........................................45  
Hꢑnh 2.27 Kiꢛn trúc tꢺng quan cꢘa FPGA ....................................................................46  
Hình 2.28 Cꢔu trúc SRAM FPGA (SRAM Logic Cell)................................................47  
Hình 2.29 Cꢔu trúc cꢘa OTP FPGA (OTP Logic Cell).................................................47  
Hꢑnh 2.30 Giao diꢂn phꢁn mꢓm Quatus II.....................................................................50  
Hình 2.31 Kit DE2-115 Altera ......................................................................................52  
Hình 2.32 Adapter 9V- 1.3A .........................................................................................55  
Hình 3.1 Sꢥ đꢧ khꢉi cꢘa hꢂ thꢉng .................................................................................62  
Hꢑnh 3.2 Đꢾc tính biên đꢎ tꢁn sꢉ cꢘa bꢎ lꢀc thông thꢔp lꢏ tꢊꢤng.................................63  
Hꢑnh 3.3 Đꢾc tính biên đꢎ tꢁn sꢉ cꢘa bꢎ lꢀc thông cao lꢏ tꢊꢤng ..................................65  
Hꢑnh 3.4 Đꢾc tính biên đꢎ tꢁn sꢉ cꢘa bꢎ lꢀc thông dꢕi lꢏ tꢊꢤng...................................67  
Hình 3.5 Cꢔu hꢑnh tꢺng quát cꢘa bꢎ lꢀc FIR đáp ꢖng xung hꢫu hꢄn............................68  
Trang ix  
Hình 3.6 Sꢥ đꢧ khꢉi chꢖc năng cꢘa hꢂ thꢉng................................................................69  
Hình 3.7 Thông sꢉ cꢘa bꢎ lꢀc thông thꢔp dꢄng FIR......................................................70  
Hình 3.8 Thông sꢉ cꢘa bꢎ lꢀc thông cao dꢄng FIR.......................................................71  
Hình 3.9 Thông sꢉ cꢘa bꢎ lꢀc thông dꢕi dꢄng FIR........................................................71  
Hình 3.10 Chꢀn chꢛ đꢎ lꢀc thông thꢔp cho khꢉi mô phꢜng..........................................72  
Hình 3.11 Khꢉi lꢀc thông thꢔp trong Simulink.............................................................72  
Hình 3.12 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu thông thꢔp ECG............................................73  
Hình 3.13 Chꢀn chꢛ đꢎ thông cao cho khꢉi mô phꢜng .................................................74  
Hình 3.14 Khꢉi lꢀc thông cao trong Simulink ..............................................................74  
Hình 3.15 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu thông cao ECG .............................................75  
Hình 3.16 Chꢀn chꢛ đꢎ thông dꢕi cho khꢉi mô phꢜng..................................................76  
Hình 3.17 Khꢉi lꢀc thông cao trong Simulink ..............................................................76  
Hình 3.18 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu thông dꢕi ECG..............................................77  
Hình 3.19 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu ngꢪu nhiên thông thꢔp ECG .........................78  
Hꢑnh 3.20 Chuyꢒn mã VHDL theo sꢥ đꢧ khꢉi..............................................................79  
Hình 3.21 Cài đꢾt chuyꢒn mã VHDL tꢙ FDATool .......................................................80  
Hình 3.22 Thao tác add file trong quartus.....................................................................81  
Hꢑnh 3.23 Chꢄy kiꢒm tra lꢨi Analysis & Synthesis.......................................................82  
Hꢑnh 3.24 Biên dꢦch lꢨi chꢊꢥng trꢑnh............................................................................83  
Hꢑnh 3.25 Giao diꢂn vꢬ sꢥ đꢧ khꢉi................................................................................83  
Hꢑnh 3.26 Vꢬ sꢥ đꢧ khꢉi trong Block Diagram.............................................................84  
Hình 3.27 Giao diꢂn phꢁn mꢓm ModelSim version 6.5................................................85  
Hꢑnh 3.28 Hꢎp thoꢄi đꢾt tên project ModelSim ............................................................85  
Hꢑnh 3.29 Hꢎp thoꢄi add file cho project trong ModelSim...........................................85  
Hꢑnh 3.30 Biên dꢦch file mô phꢜng thành công ............................................................86  
Hꢑnh 3.31 Quá trꢑnh tꢕi cꢔu hꢑnh xuꢉng FPGA.............................................................86  
Hình 4.1 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông thꢔp trꢊꢩng hꢋp 1 ........................87  
Hình 4.2 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông thꢔp trꢊꢩng hꢋp 2 ........................88  
Hình 4.3 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông thꢔp trꢊꢩng hꢋp 3 ........................89  
Hình 4.4 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông thꢔp trꢊꢩng hꢋp 4 ........................89  
Hình 4.5 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông cao trꢊꢩng hꢋp 1..........................90  
Hình 4.6 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông cao trꢊꢩng hꢋp 3..........................91  
Hình 4.7 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông cao trꢊꢩng hꢋp 2..........................91  
Hình 4.8 Các dꢄng sóng cꢘa hꢂ thꢉng bꢎ lꢀc thông cao trꢊꢩng hꢋp 4..........................92  
Hình 4.9 Các dꢄng sóng cꢘa hꢂ thꢉng dùng bꢎ lꢀc thông dꢕi .......................................93  
Hình 4.10 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông thꢔp lꢁn 1 .............94  
Hình 4.11 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu ngꢪu nhiên thông thꢔp ECG có khuꢛch đꢄi..94  
Hình 4.12 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông thꢔp lꢁn 2 .............95  
Hình 4.13 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông thꢔp lꢁn 3 .............95  
Trang x  
Hình 4.14 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu ngꢪu nhiên thông cao ECG có khuꢛch đꢄi ...96  
Hình 4.15 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông thꢔp lꢁn 1 .............96  
Hình 4.16 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông thꢔp lꢁn 2 .............97  
Hình 4.17 Thiꢛt lꢌp mô phꢜng lꢀc nhiꢢu ngꢪu nhiên thông dꢕi ECG có khuꢛch đꢄi....97  
Hình 4.18 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông dꢕi lꢁn 1 ...............98  
Hình 4.19 Dꢄng sóng cꢘa hꢂ lꢀc nhiꢢu ngꢪu nhiên dùng lꢀc thông dꢕi lꢁn 2 ...............98  
Hꢑnh 4.20 Dꢄng sóng mô phꢜng trên ModelSim...........................................................99  
LIꢉT KÊ BꢘNG  
Bảng 2.1 Cấu trúc của bộ lọc có đáp tuyến xung hữu hạn ...........................................40  
Trang xi  
DANH MꢁC CÁC Tꢚ VIꢍT TꢛT  
FPGA  
LPF  
: Field Program Gate Array  
: Low Pass Filter  
HPF  
BPF  
: High Pass Filter  
: Band Pass Filter  
PLD  
ASIC  
GPIO  
SPI  
: Programmable Logic Device  
: Application-specific Integrated Circuit  
: General Purpose Input Output  
: Serial Peripheral Interface  
: Pulse-width modulation  
: Interfacial Tension  
PWM  
IFT  
DSP  
: Digital signal processing  
Trang xii  
CHꢟꢲNG 1. TꢵNG QUAN  
CHꢃꢙNG 1: TꢜNG QUAN  
1.1 ĐẶT VꢝN Đꢌ  
Xꢃ lꢏ tín hiꢂu sꢉ (Digital Dignal Processing – DSP), hay tꢺng quát hꢥn là xꢃ lꢏ tín  
hiꢂu rꢩi rꢄc theo thꢩi gian (Discrete-Time Signal Processing), là viꢂc xꢃ lꢏ mꢎt tín hiꢂu  
vào bꢔt kỳ đꢒ thu đꢊꢋc tín hiꢂu ra mong muꢉn, nhằm đꢄt mꢍc đích nhꢔt đꢦnh. Xꢃ lꢏ tín  
hiꢂu ngày càng đóng vai trò quan trꢀng trong nhiꢓu ngành khoa hꢀc và kỹ thuꢌt, là đꢎng  
lꢐc thúc đꢿy sꢐ tiꢛn bꢎ cꢘa nhiꢓu ngành kỹ thuꢌt cao nhꢊ: viꢢn thông, đa phꢊꢥng tiꢂn,  
cũng nhꢊ góp phꢁn quan trꢀng trong các lĩnh vꢐc khác nhꢊ quân sꢐ, y hꢀc, … Cùng vꢅi  
sꢐ bùng nꢺ cꢘa ngành công nghiꢂp điꢂn tꢃ hiꢂn nay, công nghꢂ xꢃ lꢏ tín hiꢂu sꢉ DSP  
cũng bùng nꢺ nhanh chóng và rꢔt phát triꢒn. Có thꢒ nói, xꢃ lꢏ tín hiꢂu sꢉ là nꢓn tꢕng cho  
mꢀi lĩnh vꢐc và chꢊa có sꢐ biꢒu hiꢂn bão hòa trong sꢐ phát triꢒn cꢘa nó, vꢌy nên, ngày  
nay, có nhiꢓu phꢁn mꢓm (Matlab, Scilab, …) cũng nhꢊ phꢁn cꢖng (PC, Vi điꢓu khiꢒn,  
Arduino, FPGA, …) đꢊꢋc dùng đꢒ xꢃ lꢏ tín hiꢂu sꢉ [1].  
Công nghꢂ FPGA (Field – Program Gate Array) là vi mꢄch dùng cꢔu trúc mꢕng  
phꢁn tꢃ logic mà ngꢊꢩi dùng có thꢒ lꢌp trꢑnh đꢊꢋc. FPGA chꢖa các logic cells thꢐc hiꢂn  
các mꢄch logic đꢊꢋc kꢛt nꢉi vꢅi nhau bꢤi ma trꢌn kꢛt nꢉi và chuyꢒn mꢄch lꢌp trꢑnh đꢊꢋc.  
Thiꢛt kꢛ hay lꢌp trꢑnh cho FPGA đꢊꢋc thꢐc hiꢂn chꢘ yꢛu bằng các ngôn ngꢫ mô tꢕ phꢁn  
cꢖng HDL, VHDL, VERILOG, … FPGA đꢊꢋc xem nhꢊ mꢎt loꢄi vi mꢄch bán dꢪn có  
nhiꢓu ꢊu điꢒm hꢥn hẳn các loꢄi bán dꢪn xuꢔt hiꢂn trꢊꢅc đó nhꢊ có tính linh đꢎng đꢉi  
vꢅi ngꢊꢩi dùng, giúp phát triꢒn các giꢕi pháp tꢉt hꢥn mà không phꢍ thuꢎc vào phꢁn cꢖng  
cꢘa nhà sꢕn xuꢔt, ngoài ra, FPGA còn có thꢒ tái cꢔu trúc lꢄi khi đang sꢃ dꢍng: ngoài khꢕ  
năng tái cꢔu trúc vi mꢄch toàn cꢍc, mꢎt sꢉ FPGA hiꢂn đꢄi còn hꢨ trꢋ tái cꢔu trúc cꢍc bꢎ,  
tꢖc khꢕ năng tái cꢔu trúc mꢎt bꢎ phꢌn riêng lẻ trong khi vꢪn đꢕm bꢕo hoꢄt đꢎng bꢑnh  
thꢊꢩng cho các bꢎ phꢌn khác, công đoꢄn thiꢛt kꢛ đꢥn giꢕn, do vꢌy chi phí giꢕm, rút ngꢗn  
thꢩi gian [1-2].  
Trꢊꢅc đó, đã có mꢎt sꢉ đꢓ tài nghiên cꢖu vꢓ Xꢃ lꢏ tín hiꢂu sꢉ dùng FPGA nhꢊ:  
“Thiꢛt kꢛ bꢎ lꢀc tín hiꢂu sꢉ trên công nghꢂ FPGA vꢅi công cꢍ Matlab và EDA cꢘa  
XILINX” [3], “Thiꢛt kꢛ trên FPGA đꢒ loꢄi ꢧn cho tín hiꢂu ECG nhꢩ biꢛn đꢺi sóng con”  
[4], “Thiꢛt kꢛ bꢎ lꢀc sꢉ trên dsPIC ꢖng dꢍng trong viꢂc xꢃ lꢏ điꢂn tâm đꢧ” [5]. Cꢍ thꢒ,  
đꢓ tài [3] dùng Matlab đꢒ thiꢛt kꢛ bꢎ lꢀc tín hiꢂu sꢉ dꢄng FIR, dùng kit FPGA cꢘa hãng  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 1  
CHꢟꢲNG 1. TꢵNG QUAN  
Xilinx và ngôn ngꢫ VHDL đꢒ xꢃ lꢏ tín hiꢂu sꢉ. Công trꢑnh [4] dùng phép biꢛn đꢺi  
wavelet rꢩi rꢄc (Discrete Wavelet Transform – DWT) đꢒ xꢃ lꢏ tín hiꢂu ECG, theo thꢩi  
gian thꢐc, trên nꢓn FPGA hãng Xilinx. Bên cꢄnh đó, viꢂc sꢃ dꢍng Vi xꢃ lꢏ  
dsPIC30F3012 đꢒ thiꢛt kꢛ bꢎ lꢀc thông thꢔp và lꢀc thông chꢾn nhằm lꢀc nhiꢢu cho tín  
hiꢂu ECG cũng đã đꢊꢋc nghiên cꢖu trong đꢓ tài [5].  
Tꢙ nhꢫng cꢥ sꢤ lꢏ thuyꢛt đã tꢑm hiꢒu và nhꢫng công trꢑnh nghiên cꢖu trꢊꢅc đó, thêm  
vào đó là nhu cꢁu vꢓ lꢀc nhiꢢu tín hiꢂu ECG, nhóm quyꢛt đꢦnh chꢀn đꢓ tài: “THIꢳT Kꢳ,  
MÔ PHꣀNG Bꢞ LꢝC NHIꣁU TÍN HIꢇU ĐIꢇN TIM DÙNG MATLAB VÀ CHUYỂN  
MÃ VHDL”.  
1.2 MꢁC TIÊU  
Xây dꢐng mꢎt bꢎ lꢀc sꢉ trên nꢓn tꢕng FPGA đꢒ lꢀc nhiꢢu tín hiꢂu điꢂn tim ECG  
nhằm đem lꢄi tín hiꢂu xác thꢐc nhằm hꢨ trꢋ tꢉt hꢥn cho viꢂc khám chꢫa bꢂnh. Trong  
đó, FPGA đꢊꢋc xem nhꢊ là phꢁn cꢖng vꢅi chꢖc năng thꢐc thi bꢎ lꢀc nhiꢢu cho tín hiꢂu  
điꢂn tim, còn Matlab đꢊꢋc sꢃ dꢍng nhꢊ mꢎt công cꢍ đꢒ thiꢛt kꢛ và mô phꢜng bꢎ lꢀc  
nhằm đánh giá khꢕ năng thꢐc hiꢂn trong thꢐc tꢛ.  
1.3 NꢀI DUNG NGHIÊN CꢞU  
NI DUNG 1: Nghiên cu tng quan vFPGA, ngôn ngVHDL, tín hiu ECG,  
các blc thông cao, thông thp, thông di.  
NI DUNG 2: Nghiên cu vkit FPGA Altera DE2-115.  
NI DUNG 3: Thit kblc và mô phng trên Matlab.  
NI DUNG 4: Mô phng và thc thi blc trên kit FPGA Altera DE2-115.  
NI DUNG 5: Chy thnghim hthng.  
NI DUNG 6: Chnh sa các li lp trình và li ca các thit b.  
NI DUNG 7: Vit luꢌn văn.  
NI DUNG 8: Báo cáo đtài tt nghip.  
1.4 GIꢟI HꢂN  
Thit kblc thông thp, thông cao và thông di cho tín hiu ECG.  
Khong tn sblꢀc dao đng t50120Hz.  
Nn tng phn cng thc thi blc dùng kit FPGA Altera DE2-115.  
1.5 Bꢋ CꢁC  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 2  
CHꢟꢲNG 1. TꢵNG QUAN  
Chꢊꢥng 1: Tꢺng Quan  
Chꢊꢥng này trꢑnh bày đꢾt vꢔn đꢓ dn nhp lý do chꢀn đꢓ tài, mc tiêu, ni dung  
nghiên cu, các gii hn thông svà bcꢍc đán.  
Chꢊꢥng 2: Cꢥ SLý Thuyt  
Chꢊꢥng này giꢅi thiu các lý thuyt liên quan, các linh kin, thit b, phn cng  
sdng thit k.  
Chꢊꢥng 3: Thit kvà thi công hthng  
Chꢊꢥng này tính toán thiꢛt khthng, thit kꢛ sꢥ đꢧ khi, chꢖc năng tꢙng khi  
và thꢐc thi chꢊꢥng trꢑnh trên FPGA.  
Chꢊꢥng 4: Kt Qu, Nhꢌn Xét, Đánh Giá  
Chꢊꢥng này nêu kꢛt quꢕ đã đꢄt đꢊc, nhꢌn xét đánh giá hꢂ thng.  
Chꢊꢥng 5: Kt Luꢌn và Hꢊꢅng Phát Trin  
Chꢊꢥng này trꢑnh bày nhꢫng gꢑ đã đꢄt đꢊꢋc và chꢊa đꢄt đꢊꢋc so vi mc tiêu  
ban đꢁu, nêu hꢊꢅng phát trin.  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 3  
CHꢟꢲNG 2. Cꢲ Sꢹ LÝ THUYꢳT  
CHꢃꢙNG 2: Cꢙ Sꢠ LÝ THUYꢍT  
2.1 TꢜNG QUAN Vꢌ TÍN HIꢉU ĐIꢉN TIM ECG  
2.1.1 Khái niꢡm vꢢ tín hiꢡu điꢡn tim ECG  
Mꢎt trong các tín hiꢂu điꢂn sinh hꢀc quan trꢀng và kinh điꢒn nhꢔt ꢖng dꢍng trong  
viꢂc chꢿn đoán và điꢓu trꢦ bꢂnh là tín hiꢂu điꢂn tim đꢧ (hay còn gꢀi là điꢂn tâm đꢧ, tiꢛng  
Anh: Electrocardiogram hay thꢊꢩng gꢀi tꢗt là ECG hay EKG).  
ECG là tín hiꢂu điꢂn thu đꢊꢋc tꢙ các điꢂn cꢐc gꢗn lên cꢥ thꢒ ngꢊꢩi đꢒ đo các hoꢄt  
đꢎng cꢘa tim ngꢊꢩi. Khi tim đꢌp tác dꢍng lên các điꢂn cꢐc tꢄo ra các xung điꢂn. Thông  
thꢊꢩng các xung điꢂn này rꢔt nhꢜ do đó cꢁn phꢕi khuꢛch đꢄi lên rꢧi mꢅi đꢊꢋc xꢃ lí. Tín  
hiꢂu điꢂn tim đꢊꢋc đꢾc trꢊng bꢤi các dꢄng sóng đꢊꢋc kꢏ hiꢂu P, Q, R, S, T và U [2, 3].  
Do trái tim trong hꢂ tuꢁn hoàn là bꢎ phꢌn có cꢔu tꢄo hoàn toàn bằng cꢥ. Mꢨi khi  
co lꢄi trong quá trꢑnh bꢥm máu, nó sꢬ tꢄo ra mꢎt điꢂn trꢊꢩng sinh hꢀc và truyꢓn qua khꢉi  
dꢪn liên hꢋp tꢙ ngꢐc, bꢍng tꢅi bꢓ mꢾt da. Vꢑ thꢛ, chúng ta có thꢒ đo đꢊꢋc sꢐ chênh lꢂch  
điꢂn thꢛ sinh hꢀc này tꢙ bꢔt kỳ 2 điꢒm nào trên bꢓ mꢾt da. Tín hiꢂu thu đꢊꢋc tꢄi mꢨi cꢾp  
2 điꢒm này đꢊꢋc gꢀi là mꢎt đꢄo trꢑnh cꢘa tín hiꢂu điꢂn tim đꢧ. Biên đꢎ và dꢄng sóng cꢘa  
tín hiꢂu ECG phꢍ thuꢎc vào cꢾp điꢂn cꢐc đꢊꢋc đꢾt ꢤ đâu trên bꢓ mꢾt da cꢘa bꢂnh nhân.  
2.1.2 Cꢣu trúc giꢤi phꢥu và chꢦc năng cꢧa tim  
Hình 2.1 Cu tạo tim ngưꢀi  
Tim là mꢎt tꢺ chꢖc cꢥ rꢨng gꢧm 4 buꢧng. Bên ngoài đꢊꢋc bao bꢀc bꢤi mꢎt túi sꢋi  
gꢀi là bao tim, bên trong đꢊꢋc cꢔu tꢄo bằng cꢥ tim có vách ngăn chia tim thành hai nꢃa  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 4  
CHꢟꢲNG 2. Cꢲ Sꢹ LÝ THUYꢳT  
riêng biꢂt gꢀi là tim trái và tim phꢕi. Tim trái bꢥm máu ra ngoꢄi vi, còn tim phꢕi bꢥm  
máu lên phꢺi. Mꢨi nꢃa tim lꢄi đꢊꢋc chia ra thành hai buꢧng, buꢧng trên là tâm nhĩ có  
thành mꢜng làm nhiꢂm vꢍ chꢖa máu, buꢧng dꢊꢅi là tâm thꢔt có thành dày, khꢉi cꢥ lꢅn  
giúp cung cꢔp lꢐc đꢿy máu đi đꢛn các bꢎ phꢌn. Giꢫa tâm nhĩ và tâm thꢔt có van nhĩ thꢔt,  
giꢫa tâm thꢔt trái và đꢎng mꢄch chꢘ, tâm thꢔt phꢕi và đꢎng mꢄch phꢺi có van bán nguyꢂt.  
Các van này đꢕm bꢕo cho máu chꢚ di chuyꢒn theo mꢎt chiꢓu tꢙ tâm nhĩ xuꢉng tâm thꢔt,  
tꢙ tâm thꢔt xuꢉng đꢎng mꢄch chꢖ không cho đi ngꢊꢋc lꢄi, nhꢩ vꢌy đꢕm bꢕo đꢊꢋc sꢐ  
tuꢁn hoàn máu.  
Ngoài ra, tim còn có mꢎt cꢔu trúc đꢾc biꢂt thꢐc hiꢂn chꢖc năng phát và dꢪn  
truyꢓn xung đꢊꢋc gꢀi là hꢂ dꢪn truyꢓn. Hꢂ thꢉng dꢪn truyꢓn gꢧm:  
+ Nút xoang nhĩ (SAN): là nút tꢄo nhꢦp cho toàn bꢎ trái tim, nằm ꢤ cꢥ tâm nhĩ  
phꢕi, phát xung vꢅi tꢁn sꢉ khoꢕng 120 lꢁn/phút.  
+ Các đꢊꢩng liên nút: nằm ꢤ giꢫa nút xoang nhĩ và nút nhĩ thꢔt, thꢐc hiꢂn chꢖc  
năng dꢪn truyꢓn các xung đꢎng giꢫa nút xoang nhĩ và nút nhĩ thꢔt.  
+ Nút nhĩ thꢔt (AVN): nằm ꢤ bên phꢕi vách liên nhĩ, giꢫ nhiꢂm vꢍ làm chꢌm dꢪn  
truyꢓn trꢊꢅc khi các xung đꢎng đꢊꢋc truyꢓn xuꢉng thꢔt vꢅi tꢁn sꢉ khoꢕng 50-60  
lꢁn/phút.  
+ Bó His: bꢗt đꢁu tꢙ nút nhĩ thꢔt đꢛn vách liên thꢔt thꢑ chia thành hai nhánh trái và  
phꢕi chꢄy dꢊꢅi nꢎi tâm mꢄc hai thꢔt đꢒ dꢪn truyꢓn xung đꢎng đꢛn hai thꢔt, tꢄi đây,  
chúng phân nhánh thành mꢄng lꢊꢅi Purkinje chꢄy giꢫa các sꢋi cꢥ tim giúp dꢪn  
truyꢓn xung đꢎng xuyên qua các thành cꢘa thꢔt. Bó His phát xung khoꢕng 30-40  
lꢁn/phút.  
Hình 2.2 Hthng dn truyn tim  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 5  
CHꢟꢲNG 2. Cꢲ Sꢹ LÝ THUYꢳT  
2.1.3 Nhꢨp tim  
Nhꢦp tim là sꢉ nhꢦp đꢌp cꢘa tim trên mꢎt đꢥn vꢦ thꢩi gian, thꢊꢩng đꢊꢋc tính bằng  
sꢉ nhꢦp/phút. Nhꢦp tim có thꢒ thay đꢺi theo nhu cꢁu hꢔp thꢍ Oxi và bài tiꢛt CO2 cꢘa cꢥ  
thꢒ, ví dꢍ nhꢊ lúc tꢌp thꢒ dꢍc và lúc ngꢘ.  
Tim là tꢺ chꢖc cꢥ rꢨng, tꢄi đó sꢐ co bóp mꢎt cách tuꢁn tꢐ các cꢥ sꢬ tꢄo ra áp lꢐc  
đꢿy máu đi qua các bꢎ phꢌn khác nhau trên cꢥ thꢒ. Mꢨi nhꢦp tim đꢊꢋc kích thích bꢤi  
xung điꢂn tꢙ các tꢛ bào nút xoang tꢄi tâm nhĩ. Các xung điꢂn truyꢓn đꢛn các bꢎ phꢌn  
khác cꢘa tim và làm cho tim co bóp. Viꢂc ghi tín hiꢂu điꢂn tim là ghi lꢄi các tín hiꢂu  
điꢂn này (tín hiꢂu ECG).  
2.1.4 Các quá trình điꢡn hꢩc cꢧa tim  
Năng lꢊꢋng chuyꢒn hóa đꢊꢋc sꢃ dꢍng đꢒ tꢄo ra môi trꢊꢩng trong giàu Kali nhꢊng  
ít Natri so vꢅi thành phꢁn ngoꢄi bào Natri cao và Kali thꢔp. Do có sꢐ không cân bằng  
tꢧn tꢄi điꢂn thꢛ tĩnh trên màng tꢛ bào, bên trong chꢙng 90mV so vꢅi bên ngoài. Khi tꢛ  
bào bꢦ kích thích (bằng cách cho dòng điꢂn vꢉn làm tăng tꢄm thꢩi thꢛ ngang màng), các  
tính chꢔt cꢘa màng thay đꢺi theo chu trꢑnh, pha thꢖ nhꢔt cꢘa nó là đꢎ thꢿm mꢄnh đꢉi vꢅi  
Natri, dòng Natri lꢅn (sꢅm) chꢕy vào trong do các gradient khuꢛch tán và điꢂn.  
Dòng chꢕy tꢄo ra dòng điꢂn. Trong khi di chuyꢒn tiꢛp, tꢛ bào vꢓ cꢥ bꢕn có tính  
chꢔt nhꢊ nguꢧn lꢊỡng cꢐc điꢂn. Dòng Natri chuyꢒn tiꢛp này chꢦu trách nhiꢂm vꢓ dòng  
mꢄch điꢂn nꢎi tꢄi và là mꢎt phꢁn cꢘa dòng điꢂn đó. Theo cách này, hoꢄt đꢎng mꢤ rꢎng  
tiꢛp tꢅi các tꢛ bào lân cꢌn. Khi màng hꢧi phꢍc (trꢤ vꢓ các tính chꢔt nghꢚ), thꢛ tác đꢎng  
cꢘa tꢛ bào kꢛt thúc và nó trꢤ lꢄi trꢄng thái nghꢚ và có khꢕ năng đꢊꢋc tái kích thích. Nói  
mꢎt cách ngꢗn gꢀn khi có dòng Natri, Kali chꢕy qua màng tim thꢑ có điꢂn thꢛ đꢊꢋc sinh  
ra.  
2.1.5 Quá trình hình thành tín hiꢡu điꢡn tim  
2.1.5.1 Nhƿ đꢔ  
Tim hoꢄt đꢎng đꢊꢋc nhꢩ vào mꢎt xung đꢎng truyꢓn qua mꢎt hꢂ thꢉng thꢁn  
kinh tꢐ kích cꢘa tim. Đꢁu tiên, nút xoang nhĩ sꢬ phát xung tꢐ đꢎng, xung đꢎng tꢜa  
ra làm cꢥ nhĩ khꢃ cꢐc trꢊꢅc. Sóng khꢃ cꢐc có hꢊꢅng chung là tꢙ trên xuꢉng dꢊꢅi,  
tꢙ phꢕi sang trái và hꢋp vꢅi phꢊꢥng ngang mꢎt góc 490. Đꢋt sóng này đꢊꢋc máy  
ghi điꢂn tim ghi lꢄi vꢅi dꢄng mꢎt sóng dꢊꢥng, đꢥn, thꢔp, nhꢜ và có biên đꢎ khoꢕng  
0,25mV gꢀi là sóng P (hình 2.3)  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 6  
CHꢟꢲNG 2. Cꢲ Sꢹ LÝ THUYꢳT  
Hình 2.3 Khcc tâm nhvà shnh thành sóng P  
2.1.5.2 Thꢣt đꢔ  
Ngay khi nhĩ còn đang khꢃ cꢐc thꢑ xung đꢎng đã bꢗt đꢁu truyꢓn vào nút nhĩ  
thꢔt xuꢉng thꢔt và hai nhánh bó His xuꢉng khꢃ cꢐc thꢔt. Sóng khꢃ cꢐc hꢊꢅng tꢙ  
giꢫa mꢾt trái đi xuyên qua mꢾt phꢕi cꢘa vách liên thꢔt. Máy sꢬ ghi nhꢌn đꢊꢋc mꢎt  
sóng âm nhꢜ, gꢀn gꢀi là sóng Q (hình 2.4).  
Hình 2.4 Khcc vách liên tht và shnh thành sóng Q  
Xung tiꢛp tꢍc truyꢓn xuꢉng và tiꢛn hành khꢃ cꢐc đꢧng thꢩi cꢕ hai tâm thꢔt theo  
hꢊꢅng xuyên qua bꢓ mꢾt dày cꢥ tim, tꢙ dꢊꢅi nꢎi tâm mꢄc ra dꢊꢅi thꢊꢋng tâm mꢄc. Véc-  
tꢥ khꢃ cꢐc hꢊꢅng tꢙ phꢕi sang trái và máy ghi nhꢌn đꢊꢋc mꢎt làn sóng dꢊꢥng, cao và  
nhꢀn gꢀi là sóng R. Sau cùng, xung đꢎng truyꢓn xuꢉng và khꢃ cꢐc vùng đáy thꢔt. Véc-  
tꢥ khꢃ cꢐc hꢊꢅng tꢙ trái sang phꢕi, máy sꢬ ghi nhꢌn đꢊꢋc mꢎt sóng âm, nhꢜ và nhꢀn  
Bꢞ MÔN ĐIꢇN Tꢣ CÔNG NGHIꢇP – Y SINH  
Trang 7  
Tải về để xem bản đầy đủ
pdf 126 trang yennguyen 30/03/2022 6240
Bạn đang xem 20 trang mẫu của tài liệu "Đồ án Thiết kế, mô phỏng bộ lọc nhiễu tín hiệu điện tim dùng matlab và chuyển mã VHDL", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

File đính kèm:

  • pdfdo_an_thiet_ke_mo_phong_bo_loc_nhieu_tin_hieu_dien_tim_dung.pdf