Bài giảng Kiến trúc máy tính - Chương 9: Các kiến trúc song song - Nguyễn Kim Khánh

NKK-HUST  
Kiến trúc máy tính  
Chương 9  
CÁC KIẾN TRÚC SONG SONG  
Nguyễn Kim Khánh  
Trường Đại học Bách khoa Hà Nội  
2017  
Kiến trúc máy tính  
481  
NKK-HUST  
Nội dung học phần  
Chương 1. Giới thiệu chung  
Chương 2. Cơ bản về logic số  
Chương 3. Hệ thống máy tính  
Chương 4. Số học máy tính  
Chương 5. Kiến trúc tập lệnh  
Chương 6. Bộ xử lý  
Chương 7. Bộ nhớ máy tính  
Chương 8. Hệ thống vào-ra  
Chương 9. Các kiến trúc song song  
2017  
Kiến trúc máy tính  
482  
NKK-HUST  
Nội dung của chương 9  
9.1. Phân loại kiến trúc máy tính  
9.2. Đa xử lý bộ nhớ dùng chung  
9.3. Đa xử lý bộ nhớ phân tán  
9.4. Bộ xử lý đồ họa đa dụng  
2017  
Kiến trúc máy tính  
483  
NKK-HUST  
9.1. Phân loại kiến trúc máy tính  
Phân loại kiến trúc máy tính (Michael Flynn -1966)  
n
n
n
n
SISD - Single Instruction Stream, Single Data Stream  
SIMD - Single Instruction Stream, Multiple Data Stream  
MISD - Multiple Instruction Stream, Single Data Stream  
MIMD - Multiple Instruction Stream, Multiple Data Stream  
2017  
Kiến trúc máy tính  
484  
NKK-HUST  
SISD  
IS  
DS  
CU  
PU  
MU  
n CU: Control Unit  
n PU: Processing Unit  
n MU: Memory Unit  
n Một bộ xử lý  
n Đơn dòng lệnh  
n Dữ liệu được lưu trữ trong một bộ nhớ  
n Chính là Kiến trúc von Neumann (tuần tự)  
2017  
Kiến trúc máy tính  
485  
NKK-HUST  
SIMD  
DS  
DS  
PU1  
PU2  
LM1  
LM2  
IS  
CU  
.
.
.
DS  
PUn  
LMn  
2017  
Kiến trúc máy tính  
486  
NKK-HUST  
SIMD (tiếp)  
n Đơn dòng lệnh điều khiển đồng thời các  
đơn vị xử lý PUs  
n Mỗi đơn vị xử lý có một bộ nhớ dữ liệu  
riêng LM (local memory)  
n Mỗi lệnh được thực hiện trên một tập  
các dữ liệu khác nhau  
n Các mô hình SIMD  
n
Vector Computer  
Array processor  
n
2017  
Kiến trúc máy tính  
487  
NKK-HUST  
MISD  
n Một luồng dữ liệu cùng được truyền đến  
một tập các bộ xử lý  
n Mỗi bộ xử lý thực hiện một dãy lệnh  
khác nhau.  
n Chưa tồn tại máy tính thực tế  
n Có thể có trong tương lai  
2017  
Kiến trúc máy tính  
488  
NKK-HUST  
MIMD  
n Tập các bộ xử lý  
n Các bộ xử lý đồng thời thực hiện các  
dãy lệnh khác nhau trên các dữ liệu  
khác nhau  
n Các mô hình MIMD  
n
Multiprocessors (Shared Memory)  
Multicomputers (Distributed Memory)  
n
2017  
Kiến trúc máy tính  
489  
NKK-HUST  
MIMD - Shared Memory  
Đa xử lý bộ nhớ dùng chung  
(shared memory mutiprocessors)  
IS  
IS  
DS  
DS  
CU1  
PU1  
CU2  
PU2  
Bộ nhớ  
dùng  
.
.
.
.
.
.
chung  
IS  
DS  
CUn  
PUn  
2017  
Kiến trúc máy tính  
490  
NKK-HUST  
MIMD - Distributed Memory  
Đa xử lý bộ nhớ phân tán  
(distributed memory mutiprocessors or  
multicomputers)  
IS  
DS  
CU1  
PU1  
LM1  
Mạng  
liên  
kết  
IS  
DS  
CU2  
PU2  
LM2  
hiệu  
năng  
cao  
.
.
.
.
.
.
.
.
.
IS  
DS  
CUn  
PUn  
LMn  
2017  
Kiến trúc máy tính  
491  
NKK-HUST  
Phân loại các kỹ thuật song song  
n Song song mức lệnh  
n
pipeline  
n
superscalar  
n Song song mức dữ liệu  
n
SIMD  
n Song song mức luồng  
n
MIMD  
n Song song mức yêu cầu  
n
Cloud computing  
2017  
Kiến trúc máy tính  
492  
NKK-HUST  
9.2. Đa xử lý bộ nhớ dùng chung  
n Hệ thống đa xử lý đối xứng (SMP-  
Symmetric Multiprocessors)  
n Hệ thống đa xử lý không đối xứng  
(NUMA – Non-Uniform Memory Access)  
n Bộ xử lý đa lõi (Multicore Processors)  
2017  
Kiến trúc máy tính  
493  
NKK-HUST  
SMP hay UMA (Uniform Memory Access)  
Shared  
Private memory  
Shared memory  
CPU  
memory  
CPU  
M
CPU  
CPU  
M
CPU  
CPU  
(c)  
M
Cache  
Bus  
(a)  
(b)  
2017  
Kiến trúc máy tính  
494  
NKK-HUST  
SMP (tiếp)  
n Một máy tính có n >= 2 bộ xử lý giống nhau  
n Các bộ xử lý dùng chung bộ nhớ và hệ thống  
vào-ra  
n Thời gian truy cập bộ nhớ là bằng nhau với các  
bộ xử lý  
n Các bộ xử lý có thể thực hiện chức năng giống  
nhau  
n Hệ thống được điều khiển bởi một hệ điều hành  
phân tán  
n Hiệu năng: Các công việc có thể thực hiện song  
song  
n Khả năng chịu lỗi  
2017  
Kiến trúc máy tính  
495  
NKK-HUST  
NUMA (Non-Uniform Memory Access)  
CPU Memory  
CPU Memory  
CPU Memory  
CPU Memory  
MMU  
Local bus  
Local bus  
Local bus  
Local bus  
System bus  
n Có một không gian địa chỉ chung cho tất cả CPU  
n Mỗi CPU có thể truy cập từ xa sang bộ nhớ của  
CPU khác  
n Truy nhập bộ nhớ từ xa chậm hơn truy nhập bộ  
nhớ cục bộ  
2017  
Kiến trúc máy tính  
496  
NKK-HUST  
Bộ xử lý đa lõi (multicores)  
Issue logic  
Single-thread register file  
Program counter  
Instruction fetch unit  
n Thay đổi của bộ xử  
Execution units and queues  
L1 instruction cache  
L1 data cache  
L2 cache  
lý:  
(a) Superscalar  
n
n
n
n
n
Tuần tự  
Issue logic  
Pipeline  
Instruction fetch unit  
L1 instruction cache  
Execution units and queues  
L1 data cache  
Siêu vô hướng  
Đa luồng  
L2 cache  
(b) Simultaneous multithreading  
Đa lõi: nhiều CPU  
trên một chip  
L2 cache  
(c) Multicore  
2017  
Kiến trúc máy tính  
497  
NKK-HUST  
Các dạng tổ chức bộ xử lý đa lõi  
CPU Core 1  
L1-D L1-I  
CPU Core n  
CPU Core 1  
CPU Core n  
L1-D L1-I  
L1-D L1-I  
L2 cache  
L1-D L1-I  
L2 cache  
L2 cache  
I/O  
Main memory  
I/O  
Main memory  
(b) Dedicated L2 cache  
(a) Dedicated L1 cache  
CPU Core 1  
L1-D L1-I  
CPU Core n  
CPU Core 1  
CPU Core n  
L1-D L1-I  
L2 cache  
L1-D L1-I  
L2 cache  
L1-D L1-I  
L2 cache  
L3 cache  
Main memory  
Main memory  
I/O  
I/O  
(c) Shared L2 cache  
(d ) Shared L3 cache  
2017  
Kiến trúc máy tính  
498  
NKK-HUST  
Intel - Core Duo  
n 2006  
n Two x86 superscalar,  
shared L2 cache  
n Dedicated L1 cache  
Thermal control  
APIC  
Thermal control  
APIC  
per core  
Power management logic  
n
32KiB instruction and  
32KiB data  
2 MB L2 shared cache  
Bus interface  
n 2MiB shared L2 cache  
Front-side bus  
2017  
Kiến trúc máy tính  
499  
NKK-HUST  
Intel Core i7-990X  
Core 0  
Core 1  
Core 2  
Core 3  
Core 4  
Core 5  
32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB 32 kB  
L1-I L1-D  
L1-I L1-D  
L1-I L1-D  
L1-I L1-D  
L1-I L1-D  
L1-I L1-D  
256 kB  
256 kB  
256 kB  
256 kB  
256 kB  
256 kB  
L2 Cache  
L2 Cache  
L2 Cache  
L2 Cache  
L2 Cache  
L2 Cache  
12 MB  
L3 Cache  
DDR3 Memory  
Controllers  
QuickPath  
Interconnect  
3 
؋
 8B @ 1.33 GT/s  
4 
؋
 20B @ 6.4 GT/s  
2017  
Kiến trúc máy tính  
500  
Tải về để xem bản đầy đủ
pdf 32 trang yennguyen 12/04/2022 3920
Bạn đang xem 20 trang mẫu của tài liệu "Bài giảng Kiến trúc máy tính - Chương 9: Các kiến trúc song song - Nguyễn Kim Khánh", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

File đính kèm:

  • pdfbai_giang_kien_truc_may_tinh_chuong_9_cac_kien_truc_song_son.pdf